Wednesday, October 23, 2013

IFTLE 166 IEEE 3DIC Conf part 1; 3DIC panel discussion; Ginti; Novati


The IEEE 3D System Integration Conference  met recently in SF to hold their 4th annual symposium. The conference which is held on a rotational basis in USA, Europe and Asia was chaired by Professor Paul Franzon of NC State Univ and yours truly (IFTLE i.e. Phil Garrou). What makes this conference different than other conferences with 3D emphasis is the concerted effort to bring all phases of the required 3D infrastructure together including processing, design, thermal and test.

Invited keynote talks included Maaike Visser Taklo of SINTEF discussing the European eBrains program which is looking at using 3D to integrate MEMS into heterogeneous stacks for, amongst other things,  bio and medical applications. Mitsu Koyanagi updated the group on activities at Tohoku University including their spin out of Ginti [Global Integration Initiative] . Bob Patti, CTO of Tezzaron which recently acquired the old Sematech fabs in Austin updated us on Tezzaron / Novati activities and Avi Bar-Cohen of DARPA brought us up to date on the DARPA ICECool programs. 

Panel Discussion on Remaining 2.5/3D Obstacles

 
L to r: Vardaman, Velenis, Koyanagi, Shangguan, Anberg, Patti
Jan Vardaman of TechSearch moderated a panel entitled “Progress and Remaining Obstacles for 3D ICs and 2.5D HVM” which consisted of Bob Patti, CTO, Tezzaron, Prof Mitsumasa Koyanagi, Tohoku University; Dr Dimitrios Velenis, IMEC; Doug Anberg, Vice President,  Ultratech (a stepper manufacturer) and Dr Dongkai Shangguan, CEO of the  National Center for Advanced Packaging  (NCAP) in  China.
When panel members were asked about remaining material and equipment issues Koyanagi discussed the issue of exposure tools pointing out that mask aligners did not have enough accuracy and needed very expensive 13” masks. His recommendation was i-line steppers such as Cannon.
When discussing imaging for interposers Patti reported that interposers are by definition required to be quite large and are having trouble since current retical fields are i.e. 26 x 31mm. Anberg pointed out that in the next few years you will see 2-3X the retical field , but the cost will be more expensive optics.
Both Koyanagi and Velenis pointed out the need for better bond/debond yields and better thin die handling. Patti indicated that Tezzaron / Novati avoids that issue by doing their thinning after F2F wafer bonding so the bottom wafer becomes the carrier and is not ever removed.   
All agreed that cost remains the number 1 obstacle to HVM and most agreed that improved yield and increased throughput were needed.
The interposer discussion, as with most other conferences, centered around whether silicon, glass or laminate would be the best choice. Patti offered that glass while a useful interposer material would require a major infusion of capital to get it off the ground. IFTLE as we have before , commented that glass will not enter the realm of being a real option till the flat panel display industry recognizes the opportunity and begins to address it.
Koyanagi offered that he did not see the silicon interposer market developing in Japan because the Japanese companies could not compete with TSMC.  Tohoku Univ has spun out a startup company Ginti for small volume 2.5/3D production.
GINTI
GINTI which stands for the Global Integration Initiative has been spun out of Tohoku University with Professor Mitsu Koyanagi as CEO. With a complete line of 200 and 300mm equipment their goal is to become a one stop shop for prototype and small volume concept, design, fabrication and testing of designs that need TSV and/ or 2.5D interposers. A base-line process is set up for pilot production which is capable of using commercial / customized 2D chips.
 
Koyanagi (left) and Hasegawa pose with  300mm wafer of 3DIC.
Tezzaron / Novati
IFTLE has previously discussed the Tezzaron purchase of SVTC (former Sematech fabs in Austin) [ see IFTLE 146, “TSMC Apple Rumors; Gartner OSAT Mkt Numbers; Novati”].
During his invited presentation “A Perspective on Manufacturing 2.5/3D” CTO Bob Patti indicated that from his perspective vendors have become much more “3D aware”.
 
 
 Dave Chapman and Bob Patti - Tezzaron
Tezzaron memory technology consists of a both a controller layer and an IO layer as shown below.
 
Patti reports their current capacity is 12K 300mm wafers/mo going to 26K by 2016. He announced that Novati will become a US trusted foundry later this year.
Of special interest was Bobs remark that he sees future power conversion being done on the interposer.
As an aside… Patti specifically called out new hires,  New Mexico process engineer Walter White and lab technician Jesse Pinkman as having been invaluable in both fund raising and 3DIC process development. “Their love of Chemistry and their trust in basic scientific principles  has brought a new enthusiasm to our whole process development team” Chapman added “…there have been several instances while walking through the fab I have actually overheard Pinkman shouting out ..”Yeah  Mr. White…Yeah  SCIENCE !” his enthusiasm is contagious ” 
Pinkman and White in the Novati Class 100 Facility

For all the latest on 3DIC and advanced packaging stay linked to IFLE…………………….
 
 
 
 
 


 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 


Sunday, October 13, 2013

IFTLE 164 Semicon Taiwan contd: GlobalFoundries Manocha Interview

Over the past few years Semicon Taiwan has been a conference where significant new advances in packaging technology, especially 3DIC have been revealed. There were no such revelations this year.

The Advanced Packaging Technology Symposium was chaired by Mike Liang, resident of Amkor Taiwan.  The 3DIC Technology Forum and the embedded Technology Forum were chaired by Chair  Ho-Ming Tong, General Manager & Chief R&D Officer for  ASE.

FC and WLP Continue to Expand

At the Advanced packaging symposium, Vardaman of TechSearch reported that FC and WLP growth , driven by mobile products, will increase from 15% of the pie in 2012 to 21% of the pie by 2017.

 
Moving to copper pillar because bump pitch is limited to ~ 130um. Cu pillar bump pitch can go to less than 100um. Most are looking at NCP/NCF underfill solutions.
Corning Updates Capabilities for Glass Interposers
At the 3DIC technology symposium Shorey of Corning updated their progress in the area of glass interposers. Working on 100 to 300mm wafers and 500mm panels (100 – 700um thick) some typical results are shown below.
 
Looks like current minimums at 20um TSV on 50um pitch with wafer thicknesses of 100um. Max via densities greater than 250 TSV/mm. Warpage looks better on glass than on silicon.
 
 
Unimicrons look at Panel Level Technology
At the Embedded Technology Forum Hu of Unimicron looked at panel level embedded technology. They offer the following comparison of WLP technology on silicon to “panel level packaging”

 
 
(Note: IFTLE does not agree with the density capability assumptions in either category)
Two processes are evolving for embedded passive panel level processing as shown in the slide below.
 
Key Process Items include (a)  Component placement accuracy; (b) Interface Adhesion with Dielectric Layer and (c) Warpage Control.
On interesting concept is the embedding of the SI interposed into the substrate as shown below. Reportedly less testing steps would be required and certainly thin wafer handling would be reduced.



 
GF’s CEO Agit Manocha on stacked die, 450mm and consolidation
Ed Spurling of Semi Manuf. & Design posted a interesting interview with GlobalFoundries CEO Agit Manocha. Manocha indicates that GF will be moving from 20 to 14nm in mid 2014 with a finfet product.
He reports that GF is working with multiple assembly houses and memory supplier partners to develop 2.5/3D technology which will be available for 28, 22 and 14nm.
He does not see 450mm being mainstream till 2020.
80% of the worlds IC production is now in moderate to high risk zones for natural disasters. GF has their production ( New York, Germany and Singapore) in the 20% low risk zone.
Moving to the 20 and 14 nodes Manocha supports those who say there will be very few players left. He indicates TSMC, GF, Samsung and Intel …that’s it …four (4) !
More coverage of Semicon Taiwan  is coming in the next few weeks.
For all the latest on 3DIC and advanced packaging stay linked to IFTLE……………………………………..

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

 


IFTLE 163 Consolidation, The Leading Edge, EMPC Grenoble part 1

Consolidation Continues

We have spoken about consolidation many times in IFTLE. Most recently in IFTLE 148, “The Future of Packaging: A Look From 50,000 Feet” we predicted significant consolidation for both equipment and materials suppliers.  To be honest this has been focused on the front end equipment suppliers buying up their back end brethren. What happened this week was even more significant.

The $29B merger of Applied Materials with fellow front end equipment supplier  Tokyo Electron was an all-stock merger, which, if allowed by the courts,  will create a global powerhouse in semiconductor and display manufacturing technology. The company will have a new name, dual headquarters in Tokyo and Santa Clara, a dual listing on the Tokyo Stock Exchange and NASDAQ, and will be incorporated in the Netherlands. Under the terms of the deal, AMAT shareholders will own 68% of the new company and TEL shareholders 32%. Tetsuro Higashi, chairman, president and CEO of TEL, will serve as chairman of the new company, while Gary Dickerson, president and CEO of AMAT, will serve as chief executive officer of the new company.

 As we said in IFTLE 148 it’s all about the economics. By cutting duplicated Research and development and sharing the same platforms, the companies expect to achieve $250 million in annualized run-rate operating synergies by the end of the first year, rising to $500 million in the third year.

We should not view this as “Fait accomplis” because I’m sure the antitrust paperwork is being filed as we speak by their remaining competitors.

The Leading Edge

When I started this blog as “Perspectives From the Leading Edge” back in 2008 in Semiconductor International, I noted that we would be focused on the leading edge  because “..that’s where the money is made”. Further evidence of that came from IC Insights last week when they provided the headline “Leading edge technology to be responsible for entire 2013 increase in pure-play foundry sales” [link]

It appears that 51% of TSMC’s revenue and 50% of GlobalFoundries’ sales in 2013 are expected to be from ≤45nm processing. 

In 2012, only TSMC, GlobalFoundries, and UMC had significant sales of ≤45nm technology.  In 2013, TSMC is expected to have about 4x the dollar volume sales at ≤45nm as compared to GlobalFoundries and about 12x the ≤45nm sales of UMC ($10.33 billion for TSMC, $2.53 billion for GlobalFoundries, and $0.89 billion for UMC).  In contrast, SMIC only entered initial production of its 45nm technology in early 2012, more than three years after TSMC first put its 45nm process into production and is forecast to sell only $0.22 billion of ≤45nm technology this year.  In fact, only 22% of UMC’s 2013 revenue and 11% of SMIC’s 2013 sales are forecast to come from devices having ≤45nm feature sizes, which is why their revenue per wafer is so low as compared to TSMC and GlobalFoundries.


 IC Insights contends that all of the increase in pure-play foundry sales in 2013 is expected to be due to ≤28nm feature size device sales. “ While the >28nm pure-play foundry market is expected to decline 3% in 2013,  leading-edge ≤28nm is forecast to triple this year.  Not only is essentially all the of pure-play foundry market growth forecast to come from leading-edge production, most of the profits that will be realized are also expected to come from the finer feature size sales”.

Despite continued rumors of process and yield problems in the 28nm TSMC fab, TSMC is forecast to have about $6.33 billion in sales of 28nm devices in 2013and as a result, TSMC is expected to hold a 78% share of the pure-play foundry industry’s $8.10 billion of ≤28nm sales this year.

EMPC Grenoble
The recent European Microelectronics Packaging Conference, EMPC, was held in Grenoble Fr. We will be taking a look at some of the key papers from the conference over the next few weeks.
IMEC
IMEC  reported on electrical characterizations done to identify the impact of typical 3D processes on CMOS devices. They report on studies done to assess the effects induced by TSV, wafer thinning and stacking.
The Figure below shows measurements done for PFET transistors ( 2 channel lengths (50nm and 300nm) with TSV of 5um diameter). They conclude that the longer channel is more sensitive to TSV presence, i.e. at a distance of 5um from TSV center, they measure ION variation of 7% in the case of 300nm channel and 2.5% variation in case of 50nm channel. NFET transistors are less sensitive to TSV proximity. At a distance of 5um from TSV center, they measure a max ION variation of 2.5%. Similar to PFET, NFET transistors with longer channels are also more sensitive to TSV proximity.
No relevant change in the device drive current and therefore no major effect induced by the thinning or stacking processes.


 
For all the latest in 3DIC and advanced packaging stay linked to IFTLE…………………..