Thursday, November 29, 2012

IFTLE 124 Status and the Future of eWLB; Will Deca lower the cost of FO-WLP ?

First and most importantly, in the US we just had our "Thanksgiving" holiday weekend. This means I was with granddaughters Hannah and Madeline in NYC for the Thanksgiving parade and then a long... long... long shopping time at the American Girl store. Here they are posed in front of American Girl. For those keeping track they are now 8 and 5.




Now back to the technology............ With the recent announcement by STATSChipPAC (SCP) that they are offering eWLB as a platform for 2.5D-3D packaging solutions [link] IFTLE thought that it was a good time for a review of the status and future of fan-out WLP (FO-WLP).

Nanium

In October, Nanium, one of the first semiconductor companies to build volume capacity for 300mm eWLB wafers in late 2010, announced that it has shipped its 200 millionth eWLB component for wireless communications and other applications.

Nanium announced that they had recently adapted eWLB technology for consumer MEMS, stacked die DRAM multichip packages for high-capacity memory applications, mixed-signal RF ASIC, and heterogeneous integration within System-in-Package (SiP).



Steffen Krohnert, Nanium's director of technology, reports that "Intel usage of the eWLB packages is for wireless consumer products (modems, RF, SoC), mainly baseband and RF chips, and also for full low-cost mobile phone on SoC...we even see increasing volumes and higher number of products in eWLB coming from Intel Mobile Communications (IMC), which is now part of the new Intel Mobile and Communications Group (MCG) and we see interest in other parts of MCG such as the Connectivity Group (MWG)."

Krohnert also reports Nanium has " ~ 20 R&D projects with semiconductor companies to implement eWLB for their products." Examples for new eWLB markets and applications include "stacked memory, heterogeneous integration for medical and security SiP, mixed-signal ASIC, RF and high-power dissipation applications, ASIC + MEMS SiP, PMU, optoelectronics/ fiber optics SiP, mm-wave/ 60GHz radar products... long-term we plan also to introduce eWLB in automotive applications, e.g. MEMS + ASIC SiP."

Yole Developpment

Yole Developpment has recently updated its "FOWLP & Embedded Die Packages" report [link]. The FOWLP market is said to have reached the $100M market last year with Nanium and SCP representing 81% of this production mainly driven by Intel Mobile's volume demand on eWLB production.






Lionel Cadix, market & technology analyst at Yole Développement, indicates that "This young industry will need to wait until 2015-2016 to reach $200M, as the demand will shift from IDMs to leading fab-less wireless IC players, such as Qualcomm, Broadcom, Mediatek, etc... and will be supported by the solid infrastructure of 'top 4' major assembly houses."

He continues with the observation that "low reliability on large package body size and lack of flexibility in the IC to package co-design process are the two main factors limiting the wide adoption of FOWLP technology in the wireless IC market. Indeed, FOWLP technology imposes a specific redesign of the chip for efficient integration into the package: both Infineon and ST Ericsson (who already have products on the market) spent almost 18 month to redesign their baseband and RF-Transceiver SoCs in order to place the pads at optimized locations and match with a single RDL, 0.5mm board pitch eWLB package design. FOWLP is a restrictive package technology for most of the world's IC designers to adopt efficiently, especially fabless chip companies. This is why only big semiconductor IDM companies having IC-to-package co-design environments well established in-house can drive and support the initial growth of this new wafer-level-packaging platform at its early stages."

Cadix reports that OSAT players ADL (TW), Amkor and NEPES (SG) are reading production and TSMC and SPIL are expected to have production ready in 2013-2014.

Infineon (GE) was the first company to commercialize its own eWLB packaging technology in an LGE cell-phone in early 2009 (see cross section below) Infineon's chip is a wireless baseband SOC with multiple integrated functions (GPS, FM radio, BT...). The same eWLB product has also been in production in Nokia handsets since 2010.



Infineon wireless baseband SoC


LGE (wireless baseband), Samsung (baseband modem), and Nokia ( baseband modem and RF transceiver) have used eWLB in their cell phone products.

Deca Technologies

Chris Scanlan, VP of product management for Deca Technologies, recently gave a presentation entitled "Adaptive Patterning for Panelized Packaging" which described the company's M-Series platform of embedded die (FOWLP) packaging.

Scanlan reported that their new process avoids the current pick-and-place positional accuracy and mold compound shrinkage issues inherent to current FOWLP processes. Die position accuracy of < 10μm and rotational accuracy of < 0.1° are typically required which in turn requires slow pick-and-place speed.

In Deca's "Adaptive Patterning" process, die with copped studs (think copper pillar bump) are placed onto a carrier and molded into a 300mm wafer. Wafers are then removed from the carrier and planarized to reveal the copper studs. The wafer is imaged and the position of each die is imported into a proprietary software.



Connections are then made between the standard pad positions and the actual positions of the placed die. Deca has not disclosed the lithography process, but we do know that there are no traditional glass masks involved -- which certainly helps explain their claimed fast turn around time. Industry conjecture is that some sort of laser processing is involved.



Customers have not been identified, although parent Cypress is probably one of them. Deca says it is "sampling to a limited set of customers with broader availability planned for 2013."

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE........................

Sunday, November 18, 2012

IFTLE 123: Intel's Bohr on 3DIC; Samsung DDR4 roadmap; Amazon to buy TI mobile chip unit? ; Communication will soon be king

Insight into Intel and 3DIC

For those of you that haven't read Ed Sperling's recent interview with Intel's CTO Mark Bohr in Semi Manuf and Design, it contains some interesting comments on the 3D area [link]:



SMD: Where do stacked die fit into your roadmap?

Bohr: 3D stacked die have advantages, but only for certain market segments. You have to be very clear about what problem and what market segment you are trying to serve.

For a small handheld application where a small footprint and form factor are key and power levels are low, it probably makes good sense to use 3D stacking. For desktop, laptop and server applications where form factor isn't as valuable and power levels are higher, 3D stacking has some problems that make it not an ideal solution.

And his thoughts on interconnect...

SMD: Is the interconnect [on chip] becoming more problematic?
Bohr: If you talk to a designer 10 years ago you would have heard the same thing. Maybe now they're saying, 'This time we're really serious.'

SMD: How about new interconnect technology?
Bohr: It's hard to replace copper and low-k other than by making lower k. But at least in the low-power cell phone market, stacking chips does help to minimize some of the interconnect issues, particularly between the logic and the memory chips.

SMD: You're referring to through-silicon vias?
Bohr: Yes.

SMD: So if Intel is planning to get into that market, the company is experimenting with that technology right now?
Bohr: Yes, and we've been public about exploring TSV and 3D technology for a couple years. Although there are some challenging technology aspects, the real issue is cost. Doing TSVs and stacking chips -- especially these custom Wide I/O chips -- is expensive. So this might be a better engineering solution in terms of density, performance and power, but will the market bear the added cost? Not all markets will bear the higher cost.


Intel to use DDR4 with TSV starting in 2014?

Despite these comments, IFTLE would be remiss if we didn't point out that rumors continue to swirl that Intel will use 3D stacked DDR4 memory in their Haswell-EX platform for enterprise computing [link].

Since Haswell will feature microprocessors with 12-14 cores, it will benefit from lower memory power consumption, higher memory bandwidth, and the memory capacity that DDR3 simply cannot provide. DRAM makers will make high-capacity DDR4 chips using through-silicon-via (TSV) technology that will allow to increase capacity of memory chips at a very fast rate. For servers, special switches will be introduced to avoid one module/one channel limitation.

Samsung DDR4

Indeed, Samsung demonstrated its next-generation DDR4 chips and memory modules at the Intel Developer Forum. Samsung showed a 300mm wafer of DDR4 die processed using 30 nm technology, insinuating that it could start production of DDR4 anytime the infrastructure became ready. Samsung plans to take DDR4 module speed for 2014 servers [like Haswell-EX?] to 2.666 GHz. Eventually, Samsung and Intel intend to boost the effective clock-speeds of DDR4 server memory modules to rather whopping 3.20GHz.




It is reported that in DDR4 memory sub-systems every memory channel will support only one memory module. To enable the highest-possible memory capacities, DRAM makers will use TSV stacking to make high-capacity DDR4 chips. Special switches will be used in server modules to avoid this module/one channel limitation.

Amazon in talks to buy TI's mobile chip business?

Last month Texas Instruments announced plans to shift its focus away from its mobile processor business (~ $650M sales) and target broader markets such as industrial clients in the car industry, and Wall Street has speculated it could be sold.



Now, according to a report from Israeli newspaper Calcalist, Amazon is said to be in "advanced negotiations" to acquire this business from TI. This would be a step towards vertical integration for production of its Kindle tablets and could indicate an interest in entering the smartphones business. TI's processors are used in Amazon's Kindle Fire tablet. Amazon CEO Bezos reportedly touted TI's industry strength at their new tablets recent launch. Speculation has existed for more than a year that Amazon could sell its own smartphone but Bezos has not addressed those rumors. The 1.0 GHz dual-core Texas Instruments 4430 OMAP application processor runs the Kindle Fire [link].

Reuters reports that Amazon declined to comment on the report. TI said it does not comment on rumors but said in an email to Reuters: "The smartphone market has become a less attractive long-term opportunity for TI ... and we are re-profiling our investment accordingly."

If this sounds strange, why is it that different than Microsoft with its traditional business model of licensing operating systems to PC manufacturers, who will this month will launch the "Surface tablet," which it designed itself?

Communications to surpass computers as leading application for ICs

Our friends at IC Insights in their study, "IC market drivers 2013: A study of emerging and major end-use applications fueling demand for integrated circuits," forecasts communications applications to pass computer applications as the leading end-use for ICs starting in 2014 and lasting through at least 2016. The IC communications market is forecast to grow 9.2% in 2012 to $90.0 billion from $82.4 billion in 2011, and increase 11.7% to $100.5 billion in 2013, breaking the $100-billion level for the first time. The total communications IC market is forecast to reach $114.4 billion in 2014, 4.6% more than the $109.4 billion computer IC market. From 2011 to 2016, the communications IC market is forecast to grow by a cumulative annual growth rate (CAGR) of 14.1%, reaching $159.5 billion at the end of the forecast period. The communications segment accounted for 31.2% of worldwide IC sales in 2011 and the computer end-use segment 41.7%. By 2016, these two segments will flip-flop, with communications forecast to represent 42.2% of the total IC market, compared to 34.0% for the computer segment.




For all the latest on 3DIC and advanced packaging, stay linked to IFTLE............

Sunday, November 11, 2012

IFTLE 122: TSMC officially ready for 2.5D, Apple order impact on TSMC

An event that many of us have been waiting for, for a long time finally happened a few weeks ago. At the recent TSMC Open Innovation Platform Ecosystem Forum on October 16th, TSMC announced the foundry reference flow supporting CoWoS (Chip-on-Wafer-on-Substrate) within their open innovation platform (OIP) [link].

The validated CoWoS reference flow enables "multi-die integration to support high bandwidth, low power and achieve fast time–to-market for 3D IC designs." The CoWoS flow allows designers to use existing, mainstream tools from leading EDA vendors. It reportedly allows "a smooth transition to 3D IC with minimal changes in existing methodologies." It includes the management of placement and routing of bumps, pads, interconnections, and C4 bumps; innovative combo-bump structure; accurate extraction and signal integrity analysis of high-speed interconnects between dies; thermal analysis from chip to package to system; and an integrated 3D testing methodology for die-level and stacking-level tests.





TSMC also announced that they have taped out the foundry segment's first CoWoS test vehicle using JEDEC Wide I/O mobile DRAM interface [link]. This test vehicle demonstrates the integration of a logic SoC chip and DRAM into a single module using the Wide I/O interface. Along with Wide I/O mobile DRAM, the integrated chips provide optimized system performance and a smaller form factor with significantly improved die-to-die connectivity bandwidth. Ecosystem partners included: Wide I/O DRAM from Hynix; Wide I/O mobile DRAM IP from Cadence Design Systems; and EDA tools from Cadence and Mentor Graphics.





EDA systems in place

It seems like only yesterday there were no EDA tools for 2.5/3DIC [ see PFTLE 23, IMEC arrives in Hsinchu and other 3D IC News]. IFTLE is happy to announce that that is no longer the case with several EDA companies including Cadence, Mentor, Synopsys and Ansys were announced by TSMC as partners for their CoWoS reference flow.


[Cliff Hou, VP R&D TSMC, and CP Hsu, VP R&D Cadence]

Cadence announced that TSMC has validated Cadence 3D-IC technology for its CoWoS reference flow with the development of a CoWoS test vehicle that includes an SoC with Cadence Wide I/O memory controller and PHY IP [link]. This is the foundry segment's first silicon-validated reference flow enabling multiple die integration.

The validated technologies in the 3D-IC solution include: the Cadence Encounter RTL-to-signoff and Virtuoso custom/analog platforms; the Cadence system-in-package products, and recently acquired Sigrity power-aware chip/package/board signal integrity solution that helps

engineers overcome die-stacking and silicon carriers' challenges from planning through implementation, test, analysis and verification. TSMC's unique CoWoS combo bump cells, which simplify bump assignment, are now supported automatically in the Cadence Encounter Digital Implementation (EDI) System, QRC Extraction, and Cadence Physical Verification System. The CoWoS Reference Flow is supported with a CoWoS design kit and silicon validation results from a TSMC test vehicle.




Mentor Graphics announced IC physical design, verification, thermal analysis and test design tools that have been selected for TSMC's new CoWoS (Chip on Wafer on Substrate) Reference Flow [link].

The Olympus-SoC product supports "probe pad routing including micro bump and C4 bump routing, routing between combo bumps, and combo bump stream out in DEF and GDS formats. Inter-die design rule checks (DRC) and layout versus schematic (LVS) checks are performed during layout construction to help ensure rapid signoff."

The Pyxis IC Station custom layout product "provides redistribution layer (RDL) routing and ground plane generation with the ability to do 45 degree angle routes to vias, and specific enhancements for the TSMC flow include improvements to the bump file import process".

The Calibre 3DSTACK sign-off tool verifies physical offset, rotation, and scaling at the die interfaces. It can also trace connectivity and extract interface parasitics to enable multi-die performance simulation. Calibre and FloTHERM 3D computational fluid dynamics software have been integrated to model temperature variation across the CoWoS design.




The Tessent solution enables 3D IC testing. The Tessent test tool " addresses 3D IC multi-die integration challenges including management of placement and routing of micro-bumps, probe-pads, through-silicon-vias (TSVs), and C4 bumps, accurate extraction and signal integrity analysis of high-speed interconnects between dies, thermal analysis from chip to package to system, and integrated 3D testing methodology for die-level and stacking-level tests".

Key features for 3D IC include:
  • Pre-bond testing of TSVs and IOs using contactless wrap;

  • Retargeting of embedded compression scan patterns and built-in self-test (BIST) created at the die level to any die in the stack using DFT access infrastructure;

  • Test generation for shorts or opens between logic die;

  • Test generation for shorts or opens between DRAM and logic die using the memory die's JEDEC interface;

  • Enhanced memory BIST for thorough testing of vendor independent stacked DRAM die.

Synopsys announced a 3D-IC design solution that is also included in TSMC's CoWoS reference flow [link]. In support of CoWoS Synopsys has released enhanced versions of its Galaxy Implementation Platform tools for physical implementation, parasitic extraction, physical verification and timing analysis.

TSMC has validated Synopsys' implementation, analysis and signoff tools, including:

Physical implementation: IC Compiler multi-die physical implementation with support for placement, assignment and routing of microbump, thru-silicon via (TSV), probe-pad and C4; combo bump cells allowing simplified and flexible bump assignment; microbump alignment checks; redistribution layer (RDL) and signal routing, and power mesh creation on CoWoS interconnection layers.

Analysis and signoff: (a) Hercules layout vs. schematic (LVS) connectivity checking between stacked die; (b) StarRC Ultra parasitic extraction support for TSV, microbump, RDL and signal routing metal for CoWoS design interconnection and (c) PrimeTime timing analysis of multi-die systems.

ANSYS and subsidiary Apache announced that their simulation tools were selected for TSMC's CoWoS reference flow to meet power, noise and reliability requirements and manage thermal run-away, stress and thermal-induced electromigration on 3D-IC structures. RedHawk, Totem, Chip Thermal Model (CTM) , Sentinel-TI ANSYS SIwave and ANSYS Icepak, provide a complete system-level thermal analysis with consideration for chip behavior across CoWoS designs.

TSMC will take over Apple orders from Samsung by 2014

During SEMICON Taiwan last month Gartner predicts TSMC is likely take over all of Apple's processor contracts (from Samsung) by 2014. Gartner predicted Samsung's LSI unit will manufacture 700,000 wafers for Apple processors in 2012 with a value of ~ $2.1 B.

Gartner predicts that if Samsung loses all its contracts to TSMC, TSMC would see revenue increases >10% (Gartner estimates TSMC's 2012 revenues at $16 B).

For all the latest on 3DIC and advanced packaging stay linked to IFTLE.....................................




(Note the hotel change! Redwood City not Burlingame)

Saturday, November 3, 2012

IFTLE 121 SEMICON Taiwan 2012 part 2

Continuing with our look at 2.5/3D and packaging activities at SEMICON Taiwan.

EVG

Markus Wimplinger of EVG addressed "Thin die stacking for wide IO memory-on-logic." EVG points out that adhesive thickness for temporary bonding is dependent on the topography being covered, as shown below.




When examining solder reflow bonding and thermo-compression bonding, EVG concludes that reflow soldering does not work for fine pitch bonding (less than 40μm; see Amkor disc above):

- Dies are very thin. Stress causes dies to bend. No mechanical contact between interconnects
- Fine pitch required reduction of solder volume. Reduced solder volume results in lower tolerance for height variations and / or bow and warp of chips

Thermocompression bonding which is becoming standard for fine pitch interconnect has a typical cycle time of 4-16 sec.

SEMI

Dan Tracy, director of industry research and statistics for SEMI, presented their 2012 "Fab equipment and materials market update." Of interest in the TSV materials forecast (obtained from Linx Consulting) is their claim that "bonding adhesives" accounts for >50% of the current (2012) ~$25MM market and still has >45% of the projected 2016 $450MM market. The "cleaning" number of ~22% in 2016 is also remarkable. Since IFTLE has found no temp adhesive materials suppliers willing to quote a current or future price for the materials, we find these numbers, as the Japanese would say, "very difficult."




Cadence

Jiayuan Fang of Cadence presented "Exploring silicon interposers through system co-design and co-analysis to maximize performance." He offered the following interposer system design flow:




Yole

In the market trends forum, Baron of Yole Développment addressed "3DIC and TSV interconnects: 2012 business update." Their latest TSV chip wafer forecast is shown below:




Yole is forecasting that the IBM's Power 8 chip and the Intel Haswell and the Sony PS4 will all be based on 2.5D interposer technology. [see IFTLE 88: Apple TSV Interposer rumors; Betting the Ranch ; TSV for Sony PS-4; Top Chip Fabricators in Last 25 Years] The Sony GPU + memory device may look something like the Global Foundries demonstrator shown below.




Yole projects the silicon/glass interposer substrate market reaching $1B by around 2016, at which point it will have penetrated ~10% of the IC substrate packaging market.




Both Micron and Samsung have announced that they will be ready to release wide IO 3D stacked memory in 2013.

Corning Glass

In the Executive Summit Forum, Peter Bocko, CTO of Corning Glass, gave a presentation entitled "Glass: Enabling next-generation, higher-performance solutions." While glass has currently been shown to function as a carrier during the thinning operation, the case is made that glass can be used as the interposer substrate. The ability to produce roll-to-roll or on large panels is the driving motivation.

Quoting reports from the GaTech consortium, Corning points to glass interposers showing less warp during chip assembly, faster signal propagation, and significantly reduced signal loss. In fact, they found a 10× lower signal loss in glass for a 6× longer interconnect. Such a 60× lower leakage improves power efficiency.




Significant advancements are being made in fabricating and filling TGV (through-glass vias) as can be seen in the figure below.




For all the latest in 3DIC and advanced packaging stay linked to IFTLE...............................